Project

General

Profile

Actions

$isbit » History » Revision 2

« Previous | Revision 2/4 (diff) | Next »
Per Amundsen, 08/10/2015 08:53 AM


Added in 1.9.0

$isbit(A,N)

Returns 1 if the Nth bit in number A is turned on.

Parameters

A - The value to check.
N - The bit to check.

Updated by Per Amundsen over 8 years ago · 2 revisions

Also available in: PDF HTML TXT